详细信息

通用阵列逻辑GAL实现基本门电路的设计 下载

文件类型:
模拟电子技术,数字电子技术,模拟数字
文件大小:
9.10 MB
下载次数:
[520次]
日期:
08-10
解压密码:
www.dianzi6.com
Tag:
模拟数字,模拟电子技术,数字电子技术   
运行平台:
txt/doc/word/ppt/pdf 等
星级:
简介:

通用阵列逻辑GAL实现基本门电路的设计为http://www.dianzi6.com整理发布,类型为模拟数字,本站还有更多关于模拟电子技术,数字电子技术,模拟数字的资料。
正文:通用阵列逻辑GAL实现基本门电路的设计
一、实验目的
1.了解GAL22V10的结构及其应用;
2.掌握GAL器件的设计原则和一般格式;
3.学会使用VHDL语言进行可编程逻辑器件的逻辑设计;
4.掌握通用阵列逻辑GAL的编程、下载、验证功能的全部过程。
二、实验原理
1. 通用阵列逻辑GAL22V10
通用阵列逻辑GAL是由可编程的与阵列、固定(不可编程)的或阵列和输出逻辑宏单元(OLMC)三部分构成。GAL芯片必须借助GAL的开发软件和硬件,对其编程写入后,才能使GAL芯片具有预期的逻辑功能。GAL22V10有10个I/O口、12个输入口、10个寄存器单元,最高频率为超过100MHz。
ispGAL22V10器件就是把流行的GAL22V10与ISP技术结合起来,在功能和结构上与GAL22V10完全相同,并沿用了GAL22V10器件的标准28脚PLCC封装。ispGAl22V10的传输时延低于7.5ns,系统速度高达100MHz以上,因而非常适用于高速图形处理和高速总线管理。由于它每个输出单元平均能够容纳12个乘积项,最多的单元可达16个乘积项,因而更为适用大型状态机、状态控制及数据处理、通讯工程、测量仪器等领域。ispGAL22V10的功能框图及引脚图分别见图1-1和1-2所示。
另外,采用ispGAL22V10来实现诸如地址译码器之类的基本逻辑功能是非常容易的。为实现在系统编程,每片ispGAL22V10需要有四个在系统编程引脚,它们是串行数据输入(SDI),方式选择(MODE)、串行输出(SDO)和串行时钟(SCLK)。这四个ISP控制信号巧妙地利用28脚PLCC封装GAL22V10的四个空脚,从而使得两种器件的引脚相互兼容。在系统编程电源为+5V,无需外接编程高压。每片ispGAL22V10可以保证一万次在系统编程。
ispGAL22V10的内部结构图如图1-3所示。
2.编译、下载源文件
用VHDL语言编写的源程序,是不能直接对芯片编程下载的,必须经过计算机软件对其进行编译,综合等最终形成PLD器件的熔断丝文件(通常叫做JEDEC文件,简称为JED文件)。通过相应的软件及编程电缆再将JED数据文件写入到GAL芯片,这样GAL芯片就具有用户所需要的逻辑功能。 
3.工具软件ispLEVER简介
ispLEVER 是Lattice 公司新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice的ispVM器件编程工具,ispLEVER软件提供给开发者一个简单而有力的工具。
如果觉得《通用阵列逻辑GAL实现基本门电路的设计》不错,可以推荐给好友哦。
相关模拟数字资料


温馨提示; 本站的资料全部免费下载,为方便下次找到本站记得将本站加入收藏夹哦,牢记网址http://www.dianzi6.com

下载说明事项

本站备有多台下载服务器,请点击上列地址进行下载通用阵列逻辑GAL实现基本门电路的设计_模拟数字,如下载过慢或下载不了请选用另外几台服务器进行下载。
本站提供的资源均为网上搜集,如果该资源通用阵列逻辑GAL实现基本门电路的设计_模拟数字涉及或无意中侵害到您的版权请与我们联系。

此页提供通用阵列逻辑GAL实现基本门电路的设计模拟电子技术,数字电子技术, 模拟数字参考。本站还有更多的模拟数字相关资料分享。
Copyright© www.dianzi6.com Inc. All rights reserved 。 1 2 3 4 5 6 7 8